[1]
M. Hemerly Gazzani, K. Lopes Silva, e E. J. Ferreira Santos, “IMPLEMENTAÇÃO DAS OPERAÇÕES DE UMA UNIDADE DE PONTO FLUTUANTE DE 32 BITS BASEADA NO PADRÃO IEEE 754 EM VERILOG”, IRC, vol. 22, nº 2, p. 5–22, dez. 2023.