IMPLEMENTAÇÃO DAS OPERAÇÕES DE UMA UNIDADE DE PONTO FLUTUANTE DE 32 BITS BASEADA NO PADRÃO IEEE 754 EM VERILOG

Autores

  • Mauro Hemerly Gazzani UEMG
  • Kátia Lopes Silva
  • Erikc José Ferreira Santos

Palavras-chave:

UPF 32 bits, Verilog, UFM, IEEE 754

Resumo

Uma UPF (Unidade de Ponto Flutuante) de forma geral é um coprocessador matemático, o qual faz parte de um sistema computacional especialmente projetado para realizar operações em números de ponto flutuante. As operações típicas que são tratadas pela UPF são adição, subtração, multiplicação e divisão. Este trabalho apresenta modelagem e simulação de uma UPF de 32 bits usando a linguagem Verilog no ambiente da plataforma EDA Playground. A UPF de 32 bits foi modularizada em quatro unidades funcionais, sendo uma para cada tipo de operação (adição, subtração, multiplicação e divisão).  As unidades funcionais possuem uma saída de 32 bits, que representa o resultado da operação realizada, e outra saída que representa os sinalizadores (flags) que sinalizam o estado do resultado das unidades. O principal foco deste trabalho é a análise, projeto e implementação da UFM (Unidade Funcional de Multiplicação). A verificação funcional foi realizada em uma bancada de teste (TestBench), onde vários casos de testes foram simulados envolvendo situações que podem acontecer no resultado da operação tais como: overflow, underflow e exceções (NAN, infinito). Os resultados apresentados pela UFM que a UPF de 32 bits implementa, demostram que ela funcionou adequadamente para os casos de testes gerados.

Referências

ALTERA CORPORATION. Verilog HDL Basis. 2008. Disponível em <http://www.ee.ic.ac.uk/pcheung/teaching/ee2_digital/Altera%20Tutorial%20-%20Verilog%20HDL%20Basic.pdf >. Acesso em: 03 mar. 2022.

CAVANAGH, Joseph. Computer Arithmetic and Verilog HDL Fundamentals. Boca Raton: CRC Press Taylor & Francis Group, 2010.

EDA Playground. Disponível em: https://edaplayground.com. Acesso em: 28 de mai. de 2022.

INTEL. Intel Architecture Software Developer’s Manual. 1999. Volume 1: Basic Architecture. Disponível em <https://www.cs.cmu.edu/~410/doc/intel-arch.pdf>. Acesso em: 24 de mai. 2022.

LAMERES, Brock J. Quick Start Guide to Verilog. Cham, Switzerland: Springer

MALADKAR , Kishan; ARADHYA , Ravish. Design and Implementation of a 32-bit Floating Point Unit. International Journal for Research in Applied Science & Engineering Technology (IJRASET), 2021, Vol. 9, Issue IV. e Published Online Dec. 2021 in RASET Journals. Disponível em < https://www.ijraset.com/fileserve.php?FID=35052 >. Acesso em: 02 de jun. 2022.

SAHU, L.; DEV, R. An efficient IEEE 754 compliant floating point unit using Verilog. 2012. A Thesis Submitted for The Partial Fulfilment of Requirements for Degree of Bachelor of Technology IN Computer Science and Engineering- Department of Computer Science and Engineering National Institute of Technology Rourkela Rourkela - 769008, India, 2012. Disponível em < http://ethesis.nitrkl.ac.in/3638/1/thesis_final.pdf >. Acesso em: 24 de mai. 2022.

SAVALIYA , Yagnesh; RUDANI , Jenish. Design and Simulation of 32-Bit Floating Point Arithmetic Logic Unit using Verilog HDL. International Research Journal of Engineering and Technology (IRJET)), 2020, Vol. 7, Issue 12. E-Published Online Dec. 2020 in IRJET Journals. Disponível em < https://www.irjet.net/archives/V7/i12/IRJET-V7I12262.pdf >. Acesso em: 25 de mai. 2022.

UPENDAR, S. Design and implementation of floating point Unit using VERILOG. Journal of Advanced Research in Technology and Management Sciences, 2018, Vol. 00, Issue 1. e Published Online Dec. 2018 in Artms Journals. Disponível em < http://jartms.org/view_issue.php?title=DESIGN-AND-IMPLEMENTATION-OF-FLOATING-POINT-UNIT-USING-VERILOG >. Acesso em: 20 de mai. 2022.

VIANA, G. V. R. Padrão IEEE 754 para aritmética binária de ponto flutuante. Universidade Estadual do Ceará-Departamento de Estatística e Computação. 2022.UFCE. (Apostila). Disponível em < https://www.lia.ufc.br/~valdisio/download/ieee.pdf >. Acesso em: 24 de mai. 2022.

XILINX. Verilog Reference Guide. 1999. Disponível em: http://in.ncu.edu.tw/ncume_ee/digilogi/vhdl/Verilog_Reference_Guide.pdf. Acesso em: 22 de jun. 2022.

ZIAULLAH, M.; MUNAFF, A. Design and Implementation of Floating Point ALU with Parity Generator Using Verilog HDL. IOSR Journal of VLSI and Signal Processing, 2015, Vol. 5, Issue 1, Ver. 1. e-ISSN: 2319 – 4200, p-ISSN No.: 2319 – 4197 Published Online Sep. 2015 in Iosr Journals. Disponível em < https://www.iosrjournals.org/iosr-jvlsi/papers/vol5-issue5/Version-1/I05515459.pdf >. Acesso em: 19 de mar. 2022.

Downloads

Publicado

2023-12-21

Como Citar

Hemerly Gazzani, M., Lopes Silva, K., & Ferreira Santos, E. J. (2023). IMPLEMENTAÇÃO DAS OPERAÇÕES DE UMA UNIDADE DE PONTO FLUTUANTE DE 32 BITS BASEADA NO PADRÃO IEEE 754 EM VERILOG. Intercursos Revista Científica, 22(2), 5–22. Recuperado de https://revista.uemg.br/index.php/intercursosrevistacientifica/article/view/7343